1. トップ
  2. 新着ニュース
  3. IT
  4. パソコン

「Intel 18A」は“順調に稼働”、Panther LakeはOS起動をクリア

マイナビニュース / 2024年8月16日 17時10分

画像提供:マイナビニュース

米Intelは、同社の最先端製造技術「Intel 18A」(1.8nmプロセス相当)を採用したクライアント向け製品「Panther Lake」と、サーバー向け製品「Clearwater Forest」がファブ(製造工場)から出荷され、電源投入・OS起動をクリアし、動作確認が取れたことを同社リリースで発表した。

いずれも量産開始は2025年を予定する。同年の前半には、Intel 18Aの最初の外部顧客向け製品の生産も開始する見込みだ。

Intel 18AはIntel 20A(2.0nmプロセス相当)をベースとした改良版の製造技術で、同社はPanther LakeとClearwater Forestがいずれも、追加構成や変更なしでOSが正常に起動したと発表。Intel 18Aは、2024年9月3日に正式発表予定のクライアント向け製品「Lunar Lake」でも使われる予定だったが、最終的にLunar LakeはTSMCの製造プロセス(N3B、N6)を採用。Lunar Lakeの次々世代SoCにあたるPanther LakeがIntel 18Aで製造される予定となっている。

Intel 18AではRibbonFETアーキテクチャと、PowerViaという技術を導入している。RibbonFETは、一般的にGAAと呼ばれる構造をIntelとして初めて導入したもので、流れる電流をより綿密に制御でき、チップの小型化や省電力性を高められる。PowerViaは電力供給をチップ前面から分離させることで、電力効率が向上する。Intelではこれらの技術を搭載した製品ではコンピューティング性能とバッテリー寿命が大きく向上する可能性があるとしている。

Panther Lake and Clearwater Forest, lead products on Intel 18A, are out of the fab, powered on, have booted operating systems, and are on track to start production next year. Additionally, Intel 18A has brought together two critical innovations to enable customers to make leaps…— Pat Gelsinger (@PGelsinger) August 6, 2024
(村田奏子)

この記事に関連するニュース

トピックスRSS

ランキング

記事ミッション中・・・

10秒滞在

記事にリアクションする

記事ミッション中・・・

10秒滞在

記事にリアクションする

デイリー: 参加する
ウィークリー: 参加する
マンスリー: 参加する
10秒滞在

記事にリアクションする

次の記事を探す

エラーが発生しました

ページを再読み込みして
ください